Welcome![Sign In][Sign Up]
Location:
Search - 8251 veril

Search list

[VHDL-FPGA-Verilog8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
Platform: | Size: 15360 | Author: 钟兵 | Hits:

[VHDL-FPGA-Verilog8251

Description: 8251的完整的功能的实现,可以进行编译,综合.-8251 complete function of the realization can be compiled and integrated.
Platform: | Size: 1393664 | Author: 田宇 | Hits:

CodeBus www.codebus.net